Icarus Verilog.

Verilog সিমুলেশন এবং সংশ্লেষণ সরঞ্জাম
এখনই ডাউনলোড করুন

Icarus Verilog. র‌্যাঙ্কিং ও সারাংশ

বিজ্ঞাপন

  • Rating:
  • লাইসেন্স:
  • GPL
  • দাম:
  • FREE
  • প্রকাশকের নাম:
  • Stephen Williams
  • প্রকাশকের ওয়েব সাইট:
  • http://icarus.com/eda/verilog/
  • অপারেটিং সিস্টেম:
  • Mac OS X
  • ফাইলের আকার:
  • 1.1 MB

Icarus Verilog. ট্যাগ


Icarus Verilog. বর্ণনা

Verilog সিমুলেশন এবং সংশ্লেষণ টুল ICARUS Verilog একটি Verilog সিমুলেশন এবং সংশ্লেষণ সরঞ্জাম। এটি একটি কম্পাইলার হিসাবে কাজ করে, যা Verilog (IEEE-1364) তে কিছু লক্ষ্য বিন্যাসে রূপান্তরিত করে। ব্যাচ সিমুলেশন জন্য, কম্পাইলারটি VVP সমাবেশ নামে একটি মধ্যবর্তী ফর্ম তৈরি করতে পারে। এই মধ্যবর্তী ফর্মটি '`vvp' কমান্ড দ্বারা মৃত্যুদন্ড কার্যকর করা হয়। সংশ্লেষণের জন্য, কম্পাইলারটি পছন্দসই বিন্যাসে নেটলিস্ট জেনারেট করে। কম্পাইলারটি যথোপযুক্ত সৃষ্টিকর্তা IEEE স্ট্যান্ডার্ড IEEE STD 1364-2001 তে লিখিতভাবে বিস্তৃত এবং পার্সের নকশা বর্ণনা করার উদ্দেশ্যে তৈরি করা হয়েছে। ২001 সালের মাঝামাঝি সময়ে মান যথাযথভাবে প্রকাশ করা হয়েছিল, যদিও একটি বরং মূল্যবান ইলেকট্রনিক রূপে। এটি একটি মোটামুটি বড় এবং জটিল মান, তাই এটি সেখানে যাওয়ার জন্য এটির জন্য কিছু সময় লাগবে, তবে এটিই লক্ষ্যমাত্রা নয়। Verilog অগ্রগতি একটি কাজ, এবং ভাষা স্ট্যান্ডার্ড এখনও দাঁড়িয়ে না হয়, এটি সম্ভবত সবসময় হবে।


Icarus Verilog. সম্পর্কিত সফটওয়্যার

0xed.

কোকো কাঠামোর উপর ভিত্তি করে একটি নেটিভ ওএস এক্স হেক্স এডিটর। ...

183 449 KB

ডাউনলোড করুন